词典论坛联络

   英语 俄语
Google | Forvo | +
A component declaration defines a virtual design entity interface that may be used in a component instantiation statement强调
编程 Объявление компонента описывает виртуальный интерфейс объекта проекта, который может быть использован в операторе конкретизации компонента (см. IEЕЕ Std. 1076-87. IEЕЕ Standard VHDL. Language Reference Manual ssn)